VHDL - Store two inputs from Terminal -


i sum 2 input values. using putty terminal provide input top level module. looks follows

entity top_level     port(...datain_pin : in std_logic...); --not including other ports here e.g. clk, reset end top_level; 

the architecture body defined as

architecture structural of top_level     signal inputa : std_logic_vector(7 downto 0);     signal inputb : std_logic_vector(7 downto 0);     ... end structural; 

how might store 2 inputs terminal in inputa , inputb respectively? example type '24' in terminal , inputa contain '2' , inputb contain '4'.


Comments